您当前所在位置:首页 > 论文 > 工学论文 > 通信学论文

DSP HPI总线与MPC8272总线接口的FPGA

编辑:sx_yangk

2015-10-27

HPI(Host-Port Interface)主机接口,是TI高性能DSP上配置的与主机进行通信的片内外设。 下面是编辑老师为大家准备的DSP HPI总线与MPC8272总线接口的FPGA

通过HPI接口,主机可以非常方便地访问DSP的所有地址空间,从而实现对DSP的控制。

TMS320C6421的HPI接口是一个16bit宽的并行端口。主机(host)对CPU地址空间的访问是通过EDMA控制器实现的。 HPI接口的访问主要通过三个专用寄存器来实现,它们分别是HPI控制寄存器(HPIC)、HPI地址寄存器(HPIA)和HPI数据寄存器(HPID)。

HPI接口信号简介

(1) HD[15∶0](数据总线)

(2) HCNTL[1∶0](控制HPI访问类型)

如前所述,对HPI的访问需要通过三个寄存器,即HPI地址寄存器(HPIA),HPI数据寄存器(HPID)和HPI控制寄存器(HPIC)来实现。HCNTL[1∶0]就是用于选择这三个寄存器的专用引脚。

HCNTL1

HCNTL0

HPI访问类型

标签:通信学论文

免责声明

精品学习网(51edu.com)在建设过程中引用了互联网上的一些信息资源并对有明确来源的信息注明了出处,版权归原作者及原网站所有,如果您对本站信息资源版权的归属问题存有异议,请您致信qinquan#51edu.com(将#换成@),我们会立即做出答复并及时解决。如果您认为本站有侵犯您权益的行为,请通知我们,我们一定根据实际情况及时处理。